// Copy Right(C) Makoto IKEDA, VDEC University of Tokyo 1999.
`timescale 1ns/10ps
`ifdef SYN
`include "cntSyn.v"
`else
`include "cnt.v"
`endif
module top;
	reg	ck, Reset, PinCtr;
	wire	[3:0]	DIO;
	reg	[3:0]	D;
	wire	Cout;

	counter	CNT(DIO, ck, Reset, PinCtr, Cout);
	assign	DIO = (PinCtr == 1 ? (D) : ('bz));

	initial begin
$monitor( "%d\t%b %h %b %b %h", $time, ck,DIO,Reset,PinCtr,Cout);
`ifdef SDF
  $sdf_annotate( "COUNTER.SDF",cnt,, "SDF.log" );
`endif
		ck=0;	Reset = 1;	PinCtr = 0;
#35		Reset = 0;
#300		PinCtr = 1;	D = $random;
#20		PinCtr = 0;
#1000		$finish;
	end
	always #10 ck=~ck;
endmodule