with address(9 DOWNTO 0) select output(7 DOWNTO 0) <= B"01101011" when B"0000000000" , B"00110010" when B"0000000001" , B"01100100" when B"0000000010" , B"01100110" when B"0000000011" , B"01110100" when B"0000000100" , B"00011001" when B"0000000101" , B"00101010" when B"0000000110" , B"01100010" when B"0000000111" , B"00100011" when B"0000001000" , B"01000110" when B"0000001001" , B"00111101" when B"0000001010" , B"01010000" when B"0000001011" , B"00101110" when B"0000001100" , B"01000001" when B"0000001101" , B"01111001" when B"0000001110" , B"01110101" when B"0000001111" , B"01010001" when B"0000010000" , B"01011011" when B"0000010001" , B"00010010" when B"0000010010" , B"01001101" when B"0000010011" , B"00000010" when B"0000010100" , B"00011111" when B"0000010101" , B"00010001" when B"0000010110" , B"01100110" when B"0000010111" , B"00010100" when B"0000011000" , B"00110011" when B"0000011001" , B"00010000" when B"0000011010" , B"00001101" when B"0000011011" , B"01111111" when B"0000011100" , B"00011011" when B"0000011101" , B"01000001" when B"0000011110" , B"01101011" when B"0000011111" , B"01001110" when B"0000100000" , B"00100101" when B"0000100001" , B"01010001" when B"0000100010" , B"01000011" when B"0000100011" , B"00111111" when B"0000100100" , B"01111100" when B"0000100101" , B"00100101" when B"0000100110" , B"01100010" when B"0000100111" , B"01000011" when B"0000101000" , B"01100010" when B"0000101001" , B"00110011" when B"0000101010" , B"01110010" when B"0000101011" , B"00100100" when B"0000101100" , B"00101101" when B"0000101101" , B"01100111" when B"0000101110" , B"01110101" when B"0000101111" , B"00001000" when B"0000110000" , B"01111001" when B"0000110001" , B"01000011" when B"0000110010" , B"00001011" when B"0000110011" , B"00011000" when B"0000110100" , B"01010100" when B"0000110101" , B"01110001" when B"0000110110" , B"00101100" when B"0000110111" , B"00001000" when B"0000111000" , B"00000010" when B"0000111001" , B"00111010" when B"0000111010" , B"00001000" when B"0000111011" , B"00011110" when B"0000111100" , B"01111100" when B"0000111101" , B"01110011" when B"0000111110" , B"01101100" when B"0000111111" , B"00100010" when B"0001000000" , B"01000101" when B"0001000001" , B"00110000" when B"0001000010" , B"01100001" when B"0001000011" , B"01000001" when B"0001000100" , B"01010101" when B"0001000101" , B"01000100" when B"0001000110" , B"00000101" when B"0001000111" , B"00111000" when B"0001001000" , B"01110111" when B"0001001001" , B"01110111" when B"0001001010" , B"01011100" when B"0001001011" , B"00100100" when B"0001001100" , B"01011110" when B"0001001101" , B"01010001" when B"0001001110" , B"00101101" when B"0001001111" , B"01011000" when B"0001010000" , B"00010101" when B"0001010001" , B"00111000" when B"0001010010" , B"01110000" when B"0001010011" , B"01101010" when B"0001010100" , B"00101010" when B"0001010101" , B"00011101" when B"0001010110" , B"01110010" when B"0001010111" , B"00101100" when B"0001011000" , B"01010111" when B"0001011001" , B"01111010" when B"0001011010" , B"01001011" when B"0001011011" , B"01010100" when B"0001011100" , B"01101101" when B"0001011101" , B"00111000" when B"0001011110" , B"01110110" when B"0001011111" , B"00110010" when B"0001100000" , B"01101000" when B"0001100001" , B"01010111" when B"0001100010" , B"01110100" when B"0001100011" , B"00111101" when B"0001100100" , B"00011011" when B"0001100101" , B"01111001" when B"0001100110" , B"01110101" when B"0001100111" , B"00010010" when B"0001101000" , B"01110000" when B"0001101001" , B"01010010" when B"0001101010" , B"00110111" when B"0001101011" , B"01001111" when B"0001101100" , B"00100011" when B"0001101101" , B"01100100" when B"0001101110" , B"00100111" when B"0001101111" , B"00111001" when B"0001110000" , B"00011100" when B"0001110001" , B"00011000" when B"0001110010" , B"00100011" when B"0001110011" , B"01000111" when B"0001110100" , B"00110101" when B"0001110101" , B"00010101" when B"0001110110" , B"01110100" when B"0001110111" , B"00001101" when B"0001111000" , B"00010000" when B"0001111001" , B"00111111" when B"0001111010" , B"01100001" when B"0001111011" , B"01111110" when B"0001111100" , B"01110111" when B"0001111101" , B"01010111" when B"0001111110" , B"00110001" when B"0001111111" , B"01011111" when B"0010000000" , B"00101111" when B"0010000001" , B"00100101" when B"0010000010" , B"00011101" when B"0010000011" , B"01001010" when B"0010000100" , B"00011111" when B"0010000101" , B"00010011" when B"0010000110" , B"01011101" when B"0010000111" , B"00010000" when B"0010001000" , B"01100101" when B"0010001001" , B"00010101" when B"0010001010" , B"01011111" when B"0010001011" , B"00001001" when B"0010001100" , B"01111001" when B"0010001101" , B"00000110" when B"0010001110" , B"01000010" when B"0010001111" , B"00010110" when B"0010010000" , B"00011110" when B"0010010001" , B"01100110" when B"0010010010" , B"01011101" when B"0010010011" , B"01010100" when B"0010010100" , B"01111011" when B"0010010101" , B"01010001" when B"0010010110" , B"01100001" when B"0010010111" , B"00001011" when B"0010011000" , B"00010001" when B"0010011001" , B"01000010" when B"0010011010" , B"00001010" when B"0010011011" , B"00001000" when B"0010011100" , B"00011010" when B"0010011101" , B"00111011" when B"0010011110" , B"01101000" when B"0010011111" , B"01001001" when B"0010100000" , B"01100000" when B"0010100001" , B"00000110" when B"0010100010" , B"00010100" when B"0010100011" , B"01111111" when B"0010100100" , B"00011010" when B"0010100101" , B"01110001" when B"0010100110" , B"00010000" when B"0010100111" , B"01111111" when B"0010101000" , B"00000110" when B"0010101001" , B"01101111" when B"0010101010" , B"00001001" when B"0010101011" , B"00000000" when B"0010101100" , B"01110110" when B"0010101101" , B"01001100" when B"0010101110" , B"00010111" when B"0010101111" , B"00010100" when B"0010110000" , B"00110010" when B"0010110001" , B"01110100" when B"0010110010" , B"01101000" when B"0010110011" , B"00101101" when B"0010110100" , B"01000110" when B"0010110101" , B"01001010" when B"0010110110" , B"00111001" when B"0010110111" , B"01010111" when B"0010111000" , B"00001100" when B"0010111001" , B"01000011" when B"0010111010" , B"01100000" when B"0010111011" , B"00100110" when B"0010111100" , B"01111111" when B"0010111101" , B"01001001" when B"0010111110" , B"01110000" when B"0010111111" , B"01011111" when B"0011000000" , B"01010000" when B"0011000001" , B"00000100" when B"0011000010" , B"01011111" when B"0011000011" , B"01101010" when B"0011000100" , B"01110110" when B"0011000101" , B"01101111" when B"0011000110" , B"01101010" when B"0011000111" , B"01111101" when B"0011001000" , B"01011111" when B"0011001001" , B"01110011" when B"0011001010" , B"01111101" when B"0011001011" , B"01010101" when B"0011001100" , B"00111111" when B"0011001101" , B"00010100" when B"0011001110" , B"01101010" when B"0011001111" , B"01110001" when B"0011010000" , B"00001001" when B"0011010001" , B"01010011" when B"0011010010" , B"00011111" when B"0011010011" , B"01010000" when B"0011010100" , B"00011101" when B"0011010101" , B"01011001" when B"0011010110" , B"00101000" when B"0011010111" , B"00101010" when B"0011011000" , B"00011101" when B"0011011001" , B"00001001" when B"0011011010" , B"01010001" when B"0011011011" , B"00011100" when B"0011011100" , B"01010011" when B"0011011101" , B"01000001" when B"0011011110" , B"01111100" when B"0011011111" , B"00100011" when B"0011100000" , B"01000101" when B"0011100001" , B"01011100" when B"0011100010" , B"00001110" when B"0011100011" , B"00111100" when B"0011100100" , B"01001011" when B"0011100101" , B"01111000" when B"0011100110" , B"00111001" when B"0011100111" , B"00101011" when B"0011101000" , B"01101100" when B"0011101001" , B"00110111" when B"0011101010" , B"00000000" when B"0011101011" , B"00101100" when B"0011101100" , B"01001100" when B"0011101101" , B"01101010" when B"0011101110" , B"00011101" when B"0011101111" , B"01010110" when B"0011110000" , B"00111101" when B"0011110001" , B"00111101" when B"0011110010" , B"00100111" when B"0011110011" , B"01011011" when B"0011110100" , B"00010111" when B"0011110101" , B"01001111" when B"0011110110" , B"00000101" when B"0011110111" , B"00110100" when B"0011111000" , B"01011001" when B"0011111001" , B"01010110" when B"0011111010" , B"01010001" when B"0011111011" , B"00101100" when B"0011111100" , B"00010111" when B"0011111101" , B"01001101" when B"0011111110" , B"01010000" when B"0011111111" , B"01011101" when B"0100000000" , B"00101010" when B"0100000001" , B"01011110" when B"0100000010" , B"00011001" when B"0100000011" , B"01110101" when B"0100000100" , B"01010111" when B"0100000101" , B"01010011" when B"0100000110" , B"00100000" when B"0100000111" , B"01000100" when B"0100001000" , B"00001011" when B"0100001001" , B"00100001" when B"0100001010" , B"01110000" when B"0100001011" , B"01010111" when B"0100001100" , B"00001011" when B"0100001101" , B"00001110" when B"0100001110" , B"00101110" when B"0100001111" , B"01001001" when B"0100010000" , B"01001011" when B"0100010001" , B"01010101" when B"0100010010" , B"00100100" when B"0100010011" , B"01100011" when B"0100010100" , B"00100100" when B"0100010101" , B"00101010" when B"0100010110" , B"00011000" when B"0100010111" , B"01111101" when B"0100011000" , B"00000000" when B"0100011001" , B"01101001" when B"0100011010" , B"00101010" when B"0100011011" , B"00011000" when B"0100011100" , B"00110111" when B"0100011101" , B"01111010" when B"0100011110" , B"01110101" when B"0100011111" , B"01100001" when B"0100100000" , B"01011001" when B"0100100001" , B"00001111" when B"0100100010" , B"01010111" when B"0100100011" , B"00110001" when B"0100100100" , B"01100011" when B"0100100101" , B"01111000" when B"0100100110" , B"01110101" when B"0100100111" , B"01101110" when B"0100101000" , B"00011010" when B"0100101001" , B"01100101" when B"0100101010" , B"01000110" when B"0100101011" , B"00100110" when B"0100101100" , B"01110011" when B"0100101101" , B"01110100" when B"0100101110" , B"01101111" when B"0100101111" , B"00111111" when B"0100110000" , B"01001001" when B"0100110001" , B"00010100" when B"0100110010" , B"00100011" when B"0100110011" , B"01101110" when B"0100110100" , B"00111111" when B"0100110101" , B"00111011" when B"0100110110" , B"01101100" when B"0100110111" , B"00111111" when B"0100111000" , B"00100101" when B"0100111001" , B"00010111" when B"0100111010" , B"01010111" when B"0100111011" , B"01011101" when B"0100111100" , B"00010001" when B"0100111101" , B"01001101" when B"0100111110" , B"00111111" when B"0100111111" , B"01101011" when B"0101000000" , B"01011100" when B"0101000001" , B"00010110" when B"0101000010" , B"00011100" when B"0101000011" , B"00111111" when B"0101000100" , B"00001111" when B"0101000101" , B"00010001" when B"0101000110" , B"00101110" when B"0101000111" , B"00101001" when B"0101001000" , B"01110111" when B"0101001001" , B"01110100" when B"0101001010" , B"01001111" when B"0101001011" , B"01101011" when B"0101001100" , B"01101000" when B"0101001101" , B"00111111" when B"0101001110" , B"00101010" when B"0101001111" , B"00110010" when B"0101010000" , B"01010100" when B"0101010001" , B"01001101" when B"0101010010" , B"00100001" when B"0101010011" , B"00010011" when B"0101010100" , B"00001001" when B"0101010101" , B"00001101" when B"0101010110" , B"01010010" when B"0101010111" , B"00101110" when B"0101011000" , B"00100100" when B"0101011001" , B"00101010" when B"0101011010" , B"00001011" when B"0101011011" , B"00110110" when B"0101011100" , B"01110111" when B"0101011101" , B"01001010" when B"0101011110" , B"00100001" when B"0101011111" , B"01010100" when B"0101100000" , B"01100001" when B"0101100001" , B"00111110" when B"0101100010" , B"00010100" when B"0101100011" , B"01110001" when B"0101100100" , B"01010000" when B"0101100101" , B"01000010" when B"0101100110" , B"00011010" when B"0101100111" , B"01000111" when B"0101101000" , B"00110110" when B"0101101001" , B"01101010" when B"0101101010" , B"00110010" when B"0101101011" , B"00011111" when B"0101101100" , B"00101001" when B"0101101101" , B"01011101" when B"0101101110" , B"01010001" when B"0101101111" , B"01111110" when B"0101110000" , B"00101011" when B"0101110001" , B"01110010" when B"0101110010" , B"00010001" when B"0101110011" , B"00110100" when B"0101110100" , B"00000000" when B"0101110101" , B"01100100" when B"0101110110" , B"01100011" when B"0101110111" , B"00100101" when B"0101111000" , B"00001110" when B"0101111001" , B"01101110" when B"0101111010" , B"01011100" when B"0101111011" , B"00000110" when B"0101111100" , B"00111001" when B"0101111101" , B"01111110" when B"0101111110" , B"01011010" when B"0101111111" , B"00011010" when B"0110000000" , B"00111100" when B"0110000001" , B"01101110" when B"0110000010" , B"00001100" when B"0110000011" , B"00001100" when B"0110000100" , B"00110001" when B"0110000101" , B"00100110" when B"0110000110" , B"01010100" when B"0110000111" , B"01100111" when B"0110001000" , B"00010000" when B"0110001001" , B"00000110" when B"0110001010" , B"00000110" when B"0110001011" , B"00111010" when B"0110001100" , B"01100011" when B"0110001101" , B"01011000" when B"0110001110" , B"00111000" when B"0110001111" , B"00001111" when B"0110010000" , B"01001011" when B"0110010001" , B"01001010" when B"0110010010" , B"01000011" when B"0110010011" , B"01001100" when B"0110010100" , B"00101110" when B"0110010101" , B"00100110" when B"0110010110" , B"01110001" when B"0110010111" , B"00111101" when B"0110011000" , B"00010101" when B"0110011001" , B"01001110" when B"0110011010" , B"01000011" when B"0110011011" , B"01001111" when B"0110011100" , B"01001100" when B"0110011101" , B"00011101" when B"0110011110" , B"01101010" when B"0110011111" , B"00001000" when B"0110100000" , B"00001100" when B"0110100001" , B"01110110" when B"0110100010" , B"00010101" when B"0110100011" , B"00111101" when B"0110100100" , B"00011100" when B"0110100101" , B"01101001" when B"0110100110" , B"00100101" when B"0110100111" , B"00101101" when B"0110101000" , B"01110000" when B"0110101001" , B"00101100" when B"0110101010" , B"01101000" when B"0110101011" , B"01010100" when B"0110101100" , B"00000100" when B"0110101101" , B"00100000" when B"0110101110" , B"01100011" when B"0110101111" , B"01010000" when B"0110110000" , B"01101011" when B"0110110001" , B"00100111" when B"0110110010" , B"00011100" when B"0110110011" , B"00011001" when B"0110110100" , B"01001110" when B"0110110101" , B"00001110" when B"0110110110" , B"01010110" when B"0110110111" , B"01100100" when B"0110111000" , B"01011100" when B"0110111001" , B"00011001" when B"0110111010" , B"00110011" when B"0110111011" , B"00101000" when B"0110111100" , B"00110111" when B"0110111101" , B"00011101" when B"0110111110" , B"00110001" when B"0110111111" , B"01000100" when B"0111000000" , B"00010011" when B"0111000001" , B"01000111" when B"0111000010" , B"00000001" when B"0111000011" , B"00110000" when B"0111000100" , B"00110000" when B"0111000101" , B"00100111" when B"0111000110" , B"01011110" when B"0111000111" , B"00100001" when B"0111001000" , B"01010011" when B"0111001001" , B"01000110" when B"0111001010" , B"01110101" when B"0111001011" , B"01010111" when B"0111001100" , B"01100111" when B"0111001101" , B"01011001" when B"0111001110" , B"00100111" when B"0111001111" , B"01010010" when B"0111010000" , B"00000000" when B"0111010001" , B"01000100" when B"0111010010" , B"01101100" when B"0111010011" , B"01001111" when B"0111010100" , B"01010010" when B"0111010101" , B"01000010" when B"0111010110" , B"00110011" when B"0111010111" , B"00101110" when B"0111011000" , B"01011100" when B"0111011001" , B"01100110" when B"0111011010" , B"01010110" when B"0111011011" , B"00010011" when B"0111011100" , B"00000100" when B"0111011101" , B"00001000" when B"0111011110" , B"01010111" when B"0111011111" , B"00011000" when B"0111100000" , B"01001111" when B"0111100001" , B"01011001" when B"0111100010" , B"01001000" when B"0111100011" , B"00000000" when B"0111100100" , B"00000000" when B"0111100101" , B"00100111" when B"0111100110" , B"00100001" when B"0111100111" , B"01010011" when B"0111101000" , B"01101101" when B"0111101001" , B"00010111" when B"0111101010" , B"00101011" when B"0111101011" , B"01010101" when B"0111101100" , B"01110000" when B"0111101101" , B"01010011" when B"0111101110" , B"00101000" when B"0111101111" , B"01110001" when B"0111110000" , B"00010111" when B"0111110001" , B"00010100" when B"0111110010" , B"01000000" when B"0111110011" , B"01101010" when B"0111110100" , B"01010110" when B"0111110101" , B"01110011" when B"0111110110" , B"00011000" when B"0111110111" , B"00110010" when B"0111111000" , B"01011010" when B"0111111001" , B"01101111" when B"0111111010" , B"01000110" when B"0111111011" , B"01011110" when B"0111111100" , B"01110111" when B"0111111101" , B"00011101" when B"0111111110" , B"01110110" when B"0111111111" , B"01000110" when B"1000000000" , B"01110111" when B"1000000001" , B"00111111" when B"1000000010" , B"01000110" when B"1000000011" , B"01111000" when B"1000000100" , B"01100110" when B"1000000101" , B"01101000" when B"1000000110" , B"01001100" when B"1000000111" , B"01010100" when B"1000001000" , B"01111111" when B"1000001001" , B"01110111" when B"1000001010" , B"00101001" when B"1000001011" , B"01101111" when B"1000001100" , B"01001011" when B"1000001101" , B"01010001" when B"1000001110" , B"01100001" when B"1000001111" , B"01100011" when B"1000010000" , B"01100101" when B"1000010001" , B"00100001" when B"1000010010" , B"01001101" when B"1000010011" , B"00111100" when B"1000010100" , B"00010101" when B"1000010101" , B"01100101" when B"1000010110" , B"01101110" when B"1000010111" , B"01101111" when B"1000011000" , B"01010101" when B"1000011001" , B"00110100" when B"1000011010" , B"01001110" when B"1000011011" , B"01001100" when B"1000011100" , B"01010010" when B"1000011101" , B"01000100" when B"1000011110" , B"00010010" when B"1000011111" , B"01001010" when B"1000100000" , B"00000100" when B"1000100001" , B"01011001" when B"1000100010" , B"01000010" when B"1000100011" , B"01101010" when B"1000100100" , B"01000001" when B"1000100101" , B"00001110" when B"1000100110" , B"00111110" when B"1000100111" , B"01000001" when B"1000101000" , B"00000110" when B"1000101001" , B"01101000" when B"1000101010" , B"00110001" when B"1000101011" , B"01010001" when B"1000101100" , B"00111001" when B"1000101101" , B"00010010" when B"1000101110" , B"00110100" when B"1000101111" , B"00011111" when B"1000110000" , B"00110100" when B"1000110001" , B"00000010" when B"1000110010" , B"01011011" when B"1000110011" , B"01001001" when B"1000110100" , B"01101000" when B"1000110101" , B"01001010" when B"1000110110" , B"00111001" when B"1000110111" , B"00111101" when B"1000111000" , B"01111111" when B"1000111001" , B"00000111" when B"1000111010" , B"00001001" when B"1000111011" , B"01010010" when B"1000111100" , B"01001100" when B"1000111101" , B"00011100" when B"1000111110" , B"00011100" when B"1000111111" , B"01010000" when B"1001000000" , B"01110110" when B"1001000001" , B"01011110" when B"1001000010" , B"00111011" when B"1001000011" , B"00111000" when B"1001000100" , B"01101100" when B"1001000101" , B"01111001" when B"1001000110" , B"01111001" when B"1001000111" , B"01110011" when B"1001001000" , B"01100010" when B"1001001001" , B"00101010" when B"1001001010" , B"01000100" when B"1001001011" , B"00011100" when B"1001001100" , B"00111101" when B"1001001101" , B"01111001" when B"1001001110" , B"00111011" when B"1001001111" , B"01110001" when B"1001010000" , B"01111011" when B"1001010001" , B"00010111" when B"1001010010" , B"00111010" when B"1001010011" , B"01100011" when B"1001010100" , B"01100010" when B"1001010101" , B"01110011" when B"1001010110" , B"00100000" when B"1001010111" , B"01100001" when B"1001011000" , B"01111011" when B"1001011001" , B"00101010" when B"1001011010" , B"00110011" when B"1001011011" , B"01000111" when B"1001011100" , B"01000110" when B"1001011101" , B"01001111" when B"1001011110" , B"00011000" when B"1001011111" , B"00111101" when B"1001100000" , B"00101110" when B"1001100001" , B"01010011" when B"1001100010" , B"01110101" when B"1001100011" , B"00011010" when B"1001100100" , B"01001101" when B"1001100101" , B"01101110" when B"1001100110" , B"00001110" when B"1001100111" , B"00101111" when B"1001101000" , B"00011001" when B"1001101001" , B"01010010" when B"1001101010" , B"01001011" when B"1001101011" , B"01010110" when B"1001101100" , B"01001100" when B"1001101101" , B"00000111" when B"1001101110" , B"01000111" when B"1001101111" , B"01001000" when B"1001110000" , B"00011111" when B"1001110001" , B"00000010" when B"1001110010" , B"00101100" when B"1001110011" , B"00000001" when B"1001110100" , B"01110110" when B"1001110101" , B"01001100" when B"1001110110" , B"01100010" when B"1001110111" , B"01110001" when B"1001111000" , B"01110111" when B"1001111001" , B"00010110" when B"1001111010" , B"00111001" when B"1001111011" , B"00111110" when B"1001111100" , B"01100101" when B"1001111101" , B"01010001" when B"1001111110" , B"01111011" when B"1001111111" , B"00010011" when B"1010000000" , B"00100101" when B"1010000001" , B"01110000" when B"1010000010" , B"00101110" when B"1010000011" , B"01110011" when B"1010000100" , B"01011111" when B"1010000101" , B"00111100" when B"1010000110" , B"00100010" when B"1010000111" , B"01111001" when B"1010001000" , B"00001111" when B"1010001001" , B"01101110" when B"1010001010" , B"01001111" when B"1010001011" , B"01011011" when B"1010001100" , B"01110110" when B"1010001101" , B"00010111" when B"1010001110" , B"00100100" when B"1010001111" , B"00010101" when B"1010010000" , B"00011001" when B"1010010001" , B"01010000" when B"1010010010" , B"00010110" when B"1010010011" , B"00010000" when B"1010010100" , B"00011101" when B"1010010101" , B"01111001" when B"1010010110" , B"00000001" when B"1010010111" , B"00010100" when B"1010011000" , B"00001111" when B"1010011001" , B"00111011" when B"1010011010" , B"01010011" when B"1010011011" , B"01110101" when B"1010011100" , B"00001100" when B"1010011101" , B"01001110" when B"1010011110" , B"00001001" when B"1010011111" , B"00110010" when B"1010100000" , B"00111111" when B"1010100001" , B"00110111" when B"1010100010" , B"00100101" when B"1010100011" , B"00011111" when B"1010100100" , B"01110100" when B"1010100101" , B"01001000" when B"1010100110" , B"00011000" when B"1010100111" , B"00000100" when B"1010101000" , B"00110111" when B"1010101001" , B"01101000" when B"1010101010" , B"01100000" when B"1010101011" , B"00101101" when B"1010101100" , B"01111111" when B"1010101101" , B"00000100" when B"1010101110" , B"01000011" when B"1010101111" , B"00011001" when B"1010110000" , B"01010100" when B"1010110001" , B"01011001" when B"1010110010" , B"00101001" when B"1010110011" , B"01110001" when B"1010110100" , B"01010010" when B"1010110101" , B"00101011" when B"1010110110" , B"00000110" when B"1010110111" , B"01100010" when B"1010111000" , B"01100110" when B"1010111001" , B"01011001" when B"1010111010" , B"01010111" when B"1010111011" , B"01110011" when B"1010111100" , B"00101000" when B"1010111101" , B"01100000" when B"1010111110" , B"00100110" when B"1010111111" , B"01100111" when B"1011000000" , B"00011000" when B"1011000001" , B"01001011" when B"1011000010" , B"00000110" when B"1011000011" , B"00001100" when B"1011000100" , B"00010100" when B"1011000101" , B"00011111" when B"1011000110" , B"00010001" when B"1011000111" , B"01001011" when B"1011001000" , B"00000111" when B"1011001001" , B"01110001" when B"1011001010" , B"01111001" when B"1011001011" , B"00000111" when B"1011001100" , B"01110110" when B"1011001101" , B"00111100" when B"1011001110" , B"00100000" when B"1011001111" , B"01001011" when B"1011010000" , B"00010101" when B"1011010001" , B"01001010" when B"1011010010" , B"00111100" when B"1011010011" , B"01101000" when B"1011010100" , B"01110110" when B"1011010101" , B"01000011" when B"1011010110" , B"01001010" when B"1011010111" , B"01011101" when B"1011011000" , B"00011100" when B"1011011001" , B"00100001" when B"1011011010" , B"01010001" when B"1011011011" , B"01000100" when B"1011011100" , B"00000010" when B"1011011101" , B"01110111" when B"1011011110" , B"00101100" when B"1011011111" , B"00011010" when B"1011100000" , B"01000010" when B"1011100001" , B"00110011" when B"1011100010" , B"00100111" when B"1011100011" , B"01010111" when B"1011100100" , B"01010010" when B"1011100101" , B"00111000" when B"1011100110" , B"00100010" when B"1011100111" , B"01011010" when B"1011101000" , B"00101010" when B"1011101001" , B"00011011" when B"1011101010" , B"01100001" when B"1011101011" , B"00100001" when B"1011101100" , B"01010111" when B"1011101101" , B"00000010" when B"1011101110" , B"01101100" when B"1011101111" , B"01101101" when B"1011110000" , B"01001100" when B"1011110001" , B"00101001" when B"1011110010" , B"01010101" when B"1011110011" , B"01000011" when B"1011110100" , B"01101100" when B"1011110101" , B"00100000" when B"1011110110" , B"00100000" when B"1011110111" , B"00001001" when B"1011111000" , B"01000001" when B"1011111001" , B"01110001" when B"1011111010" , B"01001110" when B"1011111011" , B"01000011" when B"1011111100" , B"01101001" when B"1011111101" , B"01111010" when B"1011111110" , B"01011110" when B"1011111111" , B"00101100" when B"1100000000" , B"00101110" when B"1100000001" , B"00000101" when B"1100000010" , B"00000011" when B"1100000011" , B"00000000" when B"1100000100" , B"00111110" when B"1100000101" , B"00100101" when B"1100000110" , B"01011010" when B"1100000111" , B"01101000" when B"1100001000" , B"01000000" when B"1100001001" , B"00111011" when B"1100001010" , B"00001010" when B"1100001011" , B"00011000" when B"1100001100" , B"00111101" when B"1100001101" , B"01110110" when B"1100001110" , B"00000101" when B"1100001111" , B"00001010" when B"1100010000" , B"00011111" when B"1100010001" , B"01011011" when B"1100010010" , B"01001110" when B"1100010011" , B"00001011" when B"1100010100" , B"01111011" when B"1100010101" , B"01101111" when B"1100010110" , B"00010101" when B"1100010111" , B"00111100" when B"1100011000" , B"01100000" when B"1100011001" , B"01100011" when B"1100011010" , B"00000000" when B"1100011011" , B"01001010" when B"1100011100" , B"01011110" when B"1100011101" , B"01011111" when B"1100011110" , B"01110110" when B"1100011111" , B"00001100" when B"1100100000" , B"01100100" when B"1100100001" , B"01111001" when B"1100100010" , B"00001100" when B"1100100011" , B"00100011" when B"1100100100" , B"00011110" when B"1100100101" , B"01100111" when B"1100100110" , B"00001100" when B"1100100111" , B"01011111" when B"1100101000" , B"00100011" when B"1100101001" , B"00010110" when B"1100101010" , B"01111000" when B"1100101011" , B"01100001" when B"1100101100" , B"00001100" when B"1100101101" , B"01111101" when B"1100101110" , B"01101100" when B"1100101111" , B"00101011" when B"1100110000" , B"01011000" when B"1100110001" , B"00111010" when B"1100110010" , B"00110111" when B"1100110011" , B"01010011" when B"1100110100" , B"00101001" when B"1100110101" , B"01001100" when B"1100110110" , B"00010000" when B"1100110111" , B"00001010" when B"1100111000" , B"00110000" when B"1100111001" , B"00010001" when B"1100111010" , B"01010100" when B"1100111011" , B"00001110" when B"1100111100" , B"01110000" when B"1100111101" , B"01001010" when B"1100111110" , B"00011010" when B"1100111111" , B"01010101" when B"1101000000" , B"01000011" when B"1101000001" , B"00100111" when B"1101000010" , B"01111000" when B"1101000011" , B"01100010" when B"1101000100" , B"00001111" when B"1101000101" , B"00000100" when B"1101000110" , B"01000001" when B"1101000111" , B"00110011" when B"1101001000" , B"00011011" when B"1101001001" , B"00111001" when B"1101001010" , B"00010100" when B"1101001011" , B"00100111" when B"1101001100" , B"00110111" when B"1101001101" , B"00000000" when B"1101001110" , B"01010011" when B"1101001111" , B"00010000" when B"1101010000" , B"00111011" when B"1101010001" , B"00001010" when B"1101010010" , B"01100011" when B"1101010011" , B"01100100" when B"1101010100" , B"01010111" when B"1101010101" , B"01110100" when B"1101010110" , B"01101111" when B"1101010111" , B"00001000" when B"1101011000" , B"00000110" when B"1101011001" , B"01000011" when B"1101011010" , B"00010110" when B"1101011011" , B"01110110" when B"1101011100" , B"00001110" when B"1101011101" , B"00110001" when B"1101011110" , B"01001100" when B"1101011111" , B"01010001" when B"1101100000" , B"01011001" when B"1101100001" , B"01000101" when B"1101100010" , B"00110100" when B"1101100011" , B"01101001" when B"1101100100" , B"01001001" when B"1101100101" , B"01110101" when B"1101100110" , B"00011100" when B"1101100111" , B"01100101" when B"1101101000" , B"00101111" when B"1101101001" , B"00110000" when B"1101101010" , B"00001100" when B"1101101011" , B"01100111" when B"1101101100" , B"00110001" when B"1101101101" , B"01011111" when B"1101101110" , B"01110111" when B"1101101111" , B"01101100" when B"1101110000" , B"01101010" when B"1101110001" , B"01011011" when B"1101110010" , B"01010001" when B"1101110011" , B"01000010" when B"1101110100" , B"01001111" when B"1101110101" , B"01000000" when B"1101110110" , B"01001010" when B"1101110111" , B"01010101" when B"1101111000" , B"00000011" when B"1101111001" , B"01100000" when B"1101111010" , B"01001100" when B"1101111011" , B"00010001" when B"1101111100" , B"00010010" when B"1101111101" , B"00011001" when B"1101111110" , B"01100011" when B"1101111111" , B"01101100" when B"1110000000" , B"01011110" when B"1110000001" , B"00010111" when B"1110000010" , B"01010101" when B"1110000011" , B"00101000" when B"1110000100" , B"00001101" when B"1110000101" , B"01110001" when B"1110000110" , B"00001101" when B"1110000111" , B"00111101" when B"1110001000" , B"00100010" when B"1110001001" , B"00011001" when B"1110001010" , B"00100100" when B"1110001011" , B"01010100" when B"1110001100" , B"01111001" when B"1110001101" , B"00011100" when B"1110001110" , B"01000000" when B"1110001111" , B"01100011" when B"1110010000" , B"01110111" when B"1110010001" , B"00010010" when B"1110010010" , B"00100101" when B"1110010011" , B"01000111" when B"1110010100" , B"01010010" when B"1110010101" , B"01101111" when B"1110010110" , B"00011101" when B"1110010111" , B"01010110" when B"1110011000" , B"01010000" when B"1110011001" , B"01101010" when B"1110011010" , B"01101000" when B"1110011011" , B"01100010" when B"1110011100" , B"00000011" when B"1110011101" , B"01001011" when B"1110011110" , B"01001111" when B"1110011111" , B"01100001" when B"1110100000" , B"01100011" when B"1110100001" , B"00100100" when B"1110100010" , B"00001001" when B"1110100011" , B"01110000" when B"1110100100" , B"00010110" when B"1110100101" , B"00010110" when B"1110100110" , B"00101110" when B"1110100111" , B"00111000" when B"1110101000" , B"00110000" when B"1110101001" , B"01010010" when B"1110101010" , B"00001100" when B"1110101011" , B"00101001" when B"1110101100" , B"01101111" when B"1110101101" , B"01001101" when B"1110101110" , B"00001101" when B"1110101111" , B"01100111" when B"1110110000" , B"01011111" when B"1110110001" , B"00110011" when B"1110110010" , B"00101110" when B"1110110011" , B"00110010" when B"1110110100" , B"00100010" when B"1110110101" , B"01001100" when B"1110110110" , B"00001000" when B"1110110111" , B"01110011" when B"1110111000" , B"00110111" when B"1110111001" , B"01110000" when B"1110111010" , B"01010110" when B"1110111011" , B"00111010" when B"1110111100" , B"00111100" when B"1110111101" , B"00100101" when B"1110111110" , B"00011100" when B"1110111111" , B"00011111" when B"1111000000" , B"01001001" when B"1111000001" , B"00100110" when B"1111000010" , B"00010000" when B"1111000011" , B"01011111" when B"1111000100" , B"00111101" when B"1111000101" , B"00111110" when B"1111000110" , B"00011000" when B"1111000111" , B"01101101" when B"1111001000" , B"00010001" when B"1111001001" , B"00100101" when B"1111001010" , B"00010111" when B"1111001011" , B"00000000" when B"1111001100" , B"01110011" when B"1111001101" , B"00100100" when B"1111001110" , B"01100111" when B"1111001111" , B"01010011" when B"1111010000" , B"01011000" when B"1111010001" , B"00010110" when B"1111010010" , B"00000101" when B"1111010011" , B"01111010" when B"1111010100" , B"01100011" when B"1111010101" , B"00001110" when B"1111010110" , B"01101110" when B"1111010111" , B"00011010" when B"1111011000" , B"01111111" when B"1111011001" , B"01000100" when B"1111011010" , B"01010101" when B"1111011011" , B"00111011" when B"1111011100" , B"01101010" when B"1111011101" , B"01110010" when B"1111011110" , B"01011011" when B"1111011111" , B"00110011" when B"1111100000" , B"00011000" when B"1111100001" , B"01101011" when B"1111100010" , B"00010011" when B"1111100011" , B"01010110" when B"1111100100" , B"00101001" when B"1111100101" , B"00101100" when B"1111100110" , B"01000100" when B"1111100111" , B"00111010" when B"1111101000" , B"01010001" when B"1111101001" , B"01011011" when B"1111101010" , B"00111010" when B"1111101011" , B"01000101" when B"1111101100" , B"00000000" when B"1111101101" , B"00100010" when B"1111101110" , B"00011000" when B"1111101111" , B"01011000" when B"1111110000" , B"00111000" when B"1111110001" , B"00011110" when B"1111110010" , B"01010011" when B"1111110011" , B"00011100" when B"1111110100" , B"00101100" when B"1111110101" , B"01000001" when B"1111110110" , B"00110110" when B"1111110111" , B"00101011" when B"1111111000" , B"00000110" when B"1111111001" , B"00001100" when B"1111111010" , B"01100111" when B"1111111011" , B"01110000" when B"1111111100" , B"01111110" when B"1111111101" , B"01000010" when B"1111111110" , B"00100100" when B"1111111111" , B"00000000" when others;